About

Log in?

DTU users get better search results including licensed content and discounts on order fees.

Anyone can log in and get personalized features such as favorites, tags and feeds.

Log in as DTU user Log in as non-DTU user No thanks

DTU Findit

Journal article

Thermal Aware Floorplanning Incorporating Temperature Dependent Wire Delay Estimation

From

Knowles Electronics1

Oticon Danmark AS2

Department of Applied Mathematics and Computer Science, Technical University of Denmark3

Embedded Systems Engineering, Department of Applied Mathematics and Computer Science, Technical University of Denmark4

Arizona State University5

Temperature has a negative impact on metal resistance and thus wire delay. In state-of-the-art VLSI circuits, large thermal gradients usually exist due to the uneven distribution of heat sources. The difference in wire temperature can lead to performance mismatch because wires of the same length can have different delay.

Traditional floorplanning algorithms use wirelength to estimate wire performance. In this work, we show that this does not always produce a design with the shortest delay and we propose a floorplanning algorithm taking into account temperature dependent wire delay as one metric in the evaluation of a floorplan.

In addition, we consider other temperature dependent factors such as congestion and interconnect reliability. The experiment results show that a shorter delay can be achieved using the proposed method.

Language: English
Year: 2015
Pages: 807-815
ISSN: 18729436 and 01419331
Types: Journal article
DOI: 10.1016/j.micpro.2015.09.013
ORCIDs: Nannarelli, Alberto

DTU users get better search results including licensed content and discounts on order fees.

Log in as DTU user

Access

Analysis