About

Log in?

DTU users get better search results including licensed content and discounts on order fees.

Anyone can log in and get personalized features such as favorites, tags and feeds.

Log in as DTU user Log in as non-DTU user No thanks

DTU Findit

Journal article

Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma: The clear-oxidize-remove-etch (CORE) sequence and chromium mask

From

National Centre for Nano Fabrication and Characterization, Technical University of Denmark1

Advanced Nanomachining, Nanofabrication, National Centre for Nano Fabrication and Characterization, Technical University of Denmark2

Nanofabrication, National Centre for Nano Fabrication and Characterization, Technical University of Denmark3

Process engineering, National Centre for Nano Fabrication and Characterization, Technical University of Denmark4

University of Twente5

Getting high aspect ratio (HAR) structures is a frequent request in directional etching of silicon using mainstream plasma tools. HAR features are useful either directly (e.g., photonic devices) or as a template for constructing more complicated structures (e.g., metamaterials). The latter is possible by adding postetch procedures such as atomic layer deposition.

In this study, a procedure to fabricate ultra-HAR nanofeatures is demonstrated. It is built on a recently developed highly directional plasma etch procedure operating at room temperature called CORE (meaning clear, oxidize, remove, and etch) in which the usual fluorocarbon (FC) inhibitor of the Bosch process is replaced by oxygen.

The effect of different CORE parameters on the etch rate and profile is investigated and optimized with respect to low mask undercut and high directionality. Due to the self-limiting property of the oxidation step, the CORE sequence is different from FC-based sequences, particularly concerning what type of etch mask is preferable.

We show that 60 nm of chromium masking is well suited for ultra-HAR etching without complicating the plasma process or compromising the overall fabrication procedure. The nanopillar arrays (200 nm diameter, 400 nm pitch and 60 nm diameter, 500 nm pitch) have smooth straight sidewalls with aspect ratios beyond 55 for gaps and up to 200 for pillars.

Due to the very mild plasma condition (less than 40 W RIE power), the mask selectivity with respect to silicon can be tuned above 500. In addition, the clean operation of the CORE sequence (no FC pileup as is typical in the Bosch process) prevents time-consuming profile tuning and enables process freedom and reproducibility.

Language: English
Publisher: American Vacuum Society
Year: 2020
Pages: 053002
ISSN: 15208559 and 07342101
Types: Journal article
DOI: 10.1116/6.0000357
ORCIDs: 0000-0001-6172-8124 , Shkondin, Evgeniy , Jensen, Flemming , Hübner, Jörg and Jansen, Henri

DTU users get better search results including licensed content and discounts on order fees.

Log in as DTU user

Access

Analysis